blob: 62486fd990e6105c9bad22ac265d6f3c03e76c96 [file] [log] [blame]
// SPDX-License-Identifier: GPL-2.0+
/dts-v1/;
/ {
#address-cells = <1>;
#size-cells = <1>;
binman {
sort-by-offset;
end-at-4gb;
size = <0x800000>;
intel-descriptor {
filename = "descriptor.bin";
};
intel-ifwi {
offset-unset;
filename = "ifwi.bin";
_testing {
return-unknown-contents;
replace;
ifwi-subpart = "IBBP";
ifwi-entry = "IBBL";
};
};
};
};